網路城邦
上一篇 回創作列表 下一篇   字體:
數位邏輯電路,實務創作競賽作品
2008/01/16 19:25:19瀏覽726|回應3|推薦9

這學期最難的數位邏輯電路的期末作品,

上星期的幾天天天都因為它而爆肝,

感覺大學生活好累啊!

好加在付出的努力有得到回報,

最後有拿一個優勝回家!!

感覺頓時從地獄飛到了天堂!!


數位邏輯電路期末報告

組別 : 高級度假別墅組

組員 : 范智超 (B9402085)

江旻倪 (B9402114)

指導老師 : 李福星老師

設計內容 : 為什麼要做這樣的設計 ?

因為我們要設計讓以後生活可以變得更便利的房屋,所以把生活中一般所會用到的生活機能運用在作品上,例如 : 床、電燈、門 ….. 等等之類的,至於作品是走向方便實用,作品方法是先把程式寫到晶片再做規劃,而週邊的電路都會配合所寫的程式,機構部分也都會配合原本所設計好的機能。

材料 : 電風扇、繼電器、七節顯示器、馬達、木板、保麗龍、電線、 LED 、指撥開關。

執行方式及進度規劃: 進行程式撰寫、電路製作、模型屋製作、及燒錄數位晶片與機電整合測試。

12/10~12/15 收集資料和採買所需零件

12/16~12/22 進行程式撰寫、電路製作和模型屋製作、進行作品測試和報告

預期成果 : 在 12/23 號以前可以完成所有製作,包括機構部分、電路還有外觀及程式撰寫。

解說 :

首先我們使用的是 VHDL 程式,利用除頻程式讓它做時間延遲,利用石英震盪器輸出一定的頻率,進入程式裡,然它每個輸出都延遲五或十秒,第一個構造就是車庫,車庫沒有車時會亮燈,如果有在上面時會暗燈,如果一大早起床沒有看見燈亮就是車子被偷了 …. 然後大門會啟動,把大門拉上去這是第一個機構,裡頭我們有用到很多的極限開關,利用極限開關來做所謂的輸出訊號,使下一個機構能夠動起來,繼電器在裡面我們也有使用到,主要用途是在於第二個吊床的部份,讓吊床部份啟動,還有內部空調部份,一邊是進風口,一邊是出風口,保留空氣的流通,還有手扶梯的部份,我們是利用履帶來做帶動,有上樓的功能,履帶只要有動作 LED 燈就會亮起,我們一共做了五個機構部分、兩個 LED 燈,來構成我們的度假別墅。

程式 :

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

entity fout is

port(

clk : in std_logic;

A: out std_logic;

B: out std_logic;

C: out std_logic;

D: out std_logic;

E: out std_logic;

F: out std_logic;

G: out std_logic

);

end fout;

architecture circuit of fout is

signal con : std_logic_vector (3 downto 0);

signal table: std_logic_vector (6 downto 0);

begin

process(clk,con)

begin

if clk 'event and clk='1' then

if con > 12 then

con <= "0001";

else

con <= con+1;

end if;

end if;

end process;

A <=table (0);

B <=table (1);

C <=table (2);

D <=table (3);

E <=table (4);

F <=table (5);

G <=table (6);

table <=

"0000001" when con="0001" else

"0000011" when con="0010" else

"0000111" when con="0011" else

"0001111" when con="0100" else

"0011111" when con="0101" else

"0111111" when con="0110" else

"1111111" when con="0111" else

"1111110" when con="1000" else

"1111100" when con="1001" else

"1111000" when con="1010" else

"1110000" when con="1011" else

"1100000" when con="1100" else

"1000000" when con="1101" else

"0000000";

end circuit;

( 創作另類創作 )
回應 推薦文章 列印 加入我的文摘
上一篇 回創作列表 下一篇

引用
引用網址:https://classic-blog.udn.com/article/trackback.jsp?uid=jacko228&aid=1543418

 回應文章

.
..
2008/02/02 23:31

沒關西辣!

控制一下不要破壞健康就好!

做的很值得~!

超強搭


如瞬間即逝
等級:8
留言加入好友
天氣冷??
2008/01/19 14:01

五先生,

情場得意,

看起來心寛體[   ]喔!!


五金行(jacko228) 於 2008-01-28 18:31 回覆:

胖?

很想給他填瘦的說 3...


我是阿廣
等級:8
留言加入好友
re
2008/01/17 06:44

辛苦是值得的了~


姚念廣,1988年生,跨域於藝文、理工、商業及法理,數次榮獲出書、獎項及報導,創作曾受《航海王》活動方推薦;因減重24kg、險失明後出書圓夢及在flyingV辦公益環島而被稱熱血奶爸作家,追求人生樂活卓越。